summaryrefslogtreecommitdiffstats
path: root/development/GHDL/slack-desc
blob: e7d598668964bdb43ae8ca727dec7b40574cf392 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
# HOW TO EDIT THIS FILE:
# The "handy ruler" below makes it easier to edit a package description.
# Line up the first '|' above the ':' following the base package name, and
# the '|' on the right side marks the last column you can put a character in.
# You must make exactly 11 lines for the formatting to be correct.  It's also
# customary to leave one space after the ':' except on otherwise blank lines.

    |-----handy-ruler------------------------------------------------------|
GHDL: GHDL (open-source simulator for the VHDL language)
GHDL:
GHDL:
GHDL:  GHDL is an open-source simulator for the VHDL language. GHDL allows
GHDL: you to compile and execute your VHDL code directly in your PC.
GHDL:  GHDL fully supports the 1987, 1993, 2002 versions of the IEEE 1076 
GHDL: VHDL standard, and partially the latest 2008 revision (well enough 
GHDL: to support fixed_generic_pkg or float_generic_pkg).
GHDL:
GHDL:
GHDL: