summaryrefslogtreecommitdiffstats
path: root/audio/jalv/setcap.sh
blob: f9f44f5621e9fc58e11011040b1252a17a5ef2ff (plain)
1
2
3
4
5
if [ -x /sbin/setcap ]; then
  for BIN in jalv jalv.gtk jalv.gtk3 jalv.gtkmm jalv.qt; do
    [ -e usr/bin/$BIN ] && /sbin/setcap cap_ipc_lock,cap_sys_nice=ep usr/bin/$BIN
  done
fi